From: ivan Date: Wed, 17 Apr 2002 05:48:47 +0000 (+0000) Subject: forgotten semicolon X-Git-Tag: freeside_1_4_0_pre12~67 X-Git-Url: http://git.freeside.biz/gitweb/?p=freeside.git;a=commitdiff_plain;h=f49abe45eac46eb04adbe18696a941993d5e9757;hp=1fb1f03d156cc44223dfdf0076c468ed011adf11 forgotten semicolon --- diff --git a/fs_passwd/fs_passwdd b/fs_passwd/fs_passwdd index 43eb5db1f..cce98e787 100755 --- a/fs_passwd/fs_passwdd +++ b/fs_passwd/fs_passwdd @@ -34,7 +34,7 @@ if ( -e $pid_file ) { #chomp( my $old_pid = ); my $old_pid = ; close PIDFILE; - $old_pid =~ /^(\d+)$/ + $old_pid =~ /^(\d+)$/; kill 'TERM', $1; } open(PIDFILE,">$pid_file");